首页 > 文章中心 > 集成电路设计自动化

集成电路设计自动化

前言:想要写出一篇令人眼前一亮的文章吗?我们特意为您整理了5篇集成电路设计自动化范文,相信会为您的写作带来帮助,发现更多的写作思路和灵感。

集成电路设计自动化

集成电路设计自动化范文第1篇

关键词:电子设计自动化;课程特点;教学方法

作者简介:董素鸽(1983-),女,河南叶县人,郑州大学西亚斯国际学院电子信息工程学院,助教;李华(1972-),男,河南郑州人,郑州大学西亚斯国际学院电子信息工程学院,助教。(河南郑州451150)

中图分类号:G642.41     文献标识码:A     文章编号:1007-0079(2012)11-0046-02

电子设计自动化(EDA:Electronic Design automation)是将计算机技术应用于电子设计过程中而形成的一门新技术,[1]它已经被广泛应用于电子电路的设计和仿真、集成电路的版图设计、印刷电路板(PCB)的设计和可编程器件的编程等各项工作中。

随着半导体技术及电子信息工业的不断发展,电子设计自动化技术在信息行业中的应用范围越来越广泛,应用领域也涉及产业链中的几乎任何一个环节。一方面是社会上对电子设计自动化人才的急需,另一方面是我国高校中电子设计自动化人才培养的落后,两者之间的矛盾也促使众多的高校开始在电子信息、微电子技术等专业中开设“电子设计自动化”课程。如今,该课程已成为众多信息类学科的专业必修课,这为我国电子设计自动化人才的培养和充实做出了巨大的贡献。

“电子设计自动化”课程教学效果直接影响着人才培养的质量,因此,优秀的教学方法和教学质量是教学过程中必须重视的。笔者根据近几年的教学经历,总结经验,开拓创新,形成了一套特有的教学方法,旨在培养出基础牢、思路清、知识广、能力强的电子设计自动化人才。

一、“电子设计自动化”课程教学的特点

电子设计自动化是一个较为宽泛的概念,它涵盖了电路设计、电路测试与验证、版图设计、PCB板开发等各个不同的应用范围。而当前“电子设计自动化”课程设置多数侧重电路设计部分,即采用硬件描述语言设计数字电路。因此,该课程的教学具非常突出的特点。

1.既要有广度,又要有深度

有广度即在教学过程中需要把电子设计自动化所包含的各个不同的应用环节都要让学生了解,从而使学生从整个产业链的角度出发,把握电子设计自动化的真正含义,以便于他们建立起一个全局概念。有深度即在教学过程中紧抓电路设计这个重点,着重讲解如何使用硬件描述语言设计硬件电路,使学生具备电路设计的具体技能,并能够应用于实践和工作当中。

2.突出硬件电路设计的概念

在众多高校开设的“电子设计自动化”课程中,多数是以硬件描述语言VHDL作为学习重点的。而VHDL语言是一门比较特殊的语言,与C语言、汇编语言等存在很大的不同。因此,在教学过程中首先要让学生明白这门语言与前期所学的其他语言的区别,并通过实例,如CPU的设计及制造过程,让学生明白VHDL等硬件描述语言的真正用途,并将硬件电路设计的概念贯穿整个教学过程。

3.理论与实践并重

“电子设计自动化”是一门理论性与实践性都很强的课程,必须两者并重,才能收到良好的教学效果。在理论学习中要突显语法要点和电路设计思想,[2]并通过实践将这些语法与设计思想得以加强和巩固,同时在实践中锻炼学生的创新能力。

二、“电子设计自动化”课程教学方法总结

良好的教学方法能起到事半功倍的效果。因此,针对“电子设计自动化”课程的教学特点,笔者根据近几年的教学经验总结了一些行之有效的教学方法。

1.以生动的形式带领学生进入电子设计自动化的世界

电子设计自动化对学生来说是一个全新的概念。如何让他们能够快速地进入到这个世界中,并了解这个世界的大概,从而对这个领域产生兴趣,是每个老师在这门课授课之前必须要做的一件事情。教师可以采用一些现代化的多媒体授课技术,让学生更直观地了解电子设计自动化。由于电子设计自动化是一个很抽象的概念,因此,可以通过播放视频、图片等一些比较直观的内容来让学生了解这个领域。从学生最熟悉的电脑CPU引入,通过一段“CPU从设计到制造过程”的视频,让学生了解集成电路设计与制造的流程与方法,并引出集成电路这个概念。

通过早期的集成电路与现在的集成电路的图片对比,引出EDA的概念,并详细讲解EDA对于集成电路行业的发展所作的巨大贡献。在教学过程中,通过向学生介绍一些使用EDA技术实现的当前比较主流的产品及其应用,提高学生对EDA的具体认识。这些方法不仅使学生对EDA相关的产业有了相应的了解,更激发了学生的学习兴趣,使学生能够踊跃地投入到“电子设计自动化”的学习中。

2.以实例展开理论教学

“电子设计自动化”的学习内容包含三大部分:[3]硬件描述语言(以VHDL语言为学习对象)、开发软件(以QUARTUS II为学习对象)和实验用开发板(以FPGA开发板为学习对象)。

硬件描述语言的学习属于理论学习部分,是重中之重。对于一门编程语言的学习来说,语法和编程思想是学习要点。在传统的编程语言学习的过程中,通常都是将语法作为主线,结合语法实例逐渐形成编程思想。这种学习方法会使学生陷入到学编程语言就是学习语法的误区中,不仅不能学到精髓,还会因为枯燥乏味而产生厌倦感。

如何能使学生既能掌握电路设计的方法,又轻松掌握语法规则是一个教学难题。笔者改变传统观念,将编程思想的学习作为教学主线,在理论学习过程中,以具体电路实例为基础,引导学生从分析电路的功能入手,熟悉将电路功能转换为相应的程序语句的过程,并掌握如何将这些语句按照规则组织成一个完整无误的程序。在此过程中,不断引入新的语法规则。由于整个过程中学生的思考重点都放在电路功能的实现上,而语法的学习就显得不那么突兀,也不会产生厌倦感。由于语法时刻都需要用到且容易忘记,因此在后期的实例讲解过程中需要不断地巩固之前所学过的语法现象,以避免学生遗忘,以此让学生明白,学习编程语言的真正目的是为了应用于电路设计。通过一些实践,学生体会到语言学习的成就感,进一步提高了学习兴趣,此方法收到了良好的教学效果。

3.将硬件电路设计的概念贯穿始终

硬件描述语言与软件语言有本质区别。很多学生由于不了解硬件描述语言的特点,在学习过程中很容易将之前所学的C语言等软件编程语言的思维惯性的应用于VHDL语言的学习过程中,这对于掌握硬件电路设计的实质有非常大的阻碍。因此,在教学过程中,从最初引入到最后设计电路,都要始终将硬件电路设计的概念和思维方式贯穿其中。

在讲述应用实例时,需要向学生分析该例中的语句和硬件电路的关系,并强调这些语句与软件语言的区别。以if语句为例,在VHDL语言中,if语句的不同应用可以产生不同的电路结构。完整的if语句产生纯组合电路,不完整的if语句将产生时序电路,如果应用不当,会在电路中引入不必要的存储单元,增加电路模块,耗费资源。[4]而对于软件语言,并没有完整if语句与不完整if语句之分。为了让学生更深刻地理解不同的if语句对应的硬件电路结构特性,可以通过一个小实例综合之后的电路结构图来说明。

如以下两个程序:

(1)entity muxab is

port(a,b:in bit;

y:out bit);

end;

architecture behave of muxab is

begin

process(a,b)

begin

if a>b then y

elsif a

end if;

end process;

end;

(2)entity muxab is

port(a,b:in bit;

y:out bit);

end;

architecture behave of muxab is

begin

process(a,b)

begin

if a>b then y

else y

end if;

end process;

end;

(1)(2)两个程序唯一的不同点在于:程序(1)中使用的是elsif语句,是一个不完整的if语句描述,而程序(2)使用的是else语句,是一个完整的if语句描述。这一条语句的区别却决定了两个程序的电路结构有很大的不同。(1)综合的结果是一个时序电路,电路结构复杂,如图1所示。而(2)综合的结果是一个纯组合电路,电路结构非常简单,如图2所示。通过综合后的电路图比较,学生更深刻理解这两类语句的区别。

强化硬件电路设计的思想,可以促使学生逐渐形成一种规范、高效、资源节约的设计风格,培养一个优秀的硬件电路设计工程师。

4.通过实践拓展强化学生动手能力

“电子设计自动化”是一门实用性很强的课程,学生在学完该课程后必须具备一定的硬件电路设计和调试的能力,因此在教学中需要不断地用实践训练来强化学生在课堂所学习的理论知识,并使他们达到能够独立设计较复杂硬件电路的能力。

笔者在教学过程中鼓励学生将课程实践和毕业设计内容相结合的方法,让学生强化实践能力,收到了良好的效果。学习“电子设计自动化”课程的学生基本上都是即将进入大四,此时他们的毕业设计已经开始进入选题,开始了初步设计的过程。笔者先在实验课堂向学生布置一些常用硬件电路设计的题目,比如交通灯、自动售货机、电梯控制器等,让学生体会电子设计自动化课程的实用性,激发他们的思考和学习兴趣。在此基础上分组组建实践小团队,让每组学生共同完成一个较复杂的电路系统,比如遥控小车、温度测控系统等,鼓励他们将所做的内容与毕业设计对接。其中大部分同学通过这些训练都可以掌握硬件电路设计的基本方法和流程,有一部分同学还能设计出比较出色的作品。此过程不仅让学生体会到了学习知识的快乐,也培养了他们的团队协作精神,为他们以后的继续深造和工作做了铺垫。

三、结束语

掌握“电子设计自动化”课程的特点,有针对性地改善教学方法,充分调动学生的学习积极性,强化理论和实践教学相结合,一方面使学生把握课程的全局性,了解和熟悉电子设计自动化行业的状况和最新动态;另一方面培养学生具有扎实的理论基础和良好的动手能力,培养出厚基础、重实践、有创新的高素质人才,具有重要的社会意义。

参考文献:

[1]潘松,黄继业.EDA技术与VHDL(第二版)[M].北京:清华大学出版社,2007.

[2]Roth,C.H.数字系统设计与VHDL[M].金明录,刘倩,译.北京:电子工业出版社,2008.

集成电路设计自动化范文第2篇

关键词:STIL; EDA; IEEE

1STIL简介

STIL是Standard Test Interface Languagefor Digital Test Vector Data.的简称,它是一种联系EDA(集成电路设计端)和ATE(集成电路测试端)的通用接口语言。

近十年来,各集成电路制造商在考虑前端设计、后端仿真,直到产生测试数据的时候都有各自的一套流程以及相对固定的数据格式(如图1所示),举例来说,对于一个新的产品,要产生ATE可以识别的测试数据(程序),必须取决于使用何种EDA工具,集成电路厂商使用何种格式的数据来仿真测试,以及在最终选择那个厂家/型号的ATE来进行实测。我们可以看到,在这一过程中并没有一个统一的标准,使得各个集成电路厂商的仿真数据和ATE的测数据之间需要互相转换,而STIL的出现使这一过程变得简单而迅速(如图2所示)。

无论使用哪种EDA工具,都可以通过STIL转换到各大厂家的ATE设备上使用,这种标准化的流程有利于:

(1)缩短整个从设计到测试的周期;

(2)减少中间环节,减少因为标准不一而发生错误或不兼容的可能性;

(3)便于调试和维护;

(4)扩大可测性设计(Design for Test,DFT)的使用范围。

2STIL的构架

2.1 STIL的使用模型

图3 是一个STIL的基本使用模型和流程。从逻辑仿真或ATPG产生STIL格式的数据,通过Manipulation工具产生后一步ATE需要的转换规则和指令,通过ATE的翻译工具/编译结合这些规则和指令就可以产生两方面的测试文件/代码:Diagnostic(用于调试),测试向量文件。另外,从ATE得到的测试结果也可以以一定的格式送回到EDA段来帮助分析和调试。

2.2 STIL的基本构架

2.2.1 IEEE Std. 1450-1999

IEEE1450-1999主要包括以下3部分内容:

(1) EDA环境到ATE环境的大容量的数字信号测试的向量文件的变换。

(2) 定义数字信号测试的向量所对应的被测元器件(Device under Test,简称DUT),pattern,format和timing。

(3) 产生像SCAN,BIST这样的结构测试的向量文件。

图4是一个500ns周期的输入信号波形在STIL中的描述。值得注意的是“0”“1”并不是通常我们理解的“低”或是“高”。在STIL里它们被称为波形变量(waveform char),在实际使用的时候可以是0-9,或是a-z的任意符号。只要是在ATE能力允许的范围内,波形的种类也没有限制。

图5是一个500ns周期的输出信号波形,即需要ATE进行采用的信号在STIL中的描述。CompareHigh/CompareLow,CompareHigh Window/ C- ompareLowWindow分别对应高/低的时间点采样和时间段采样。

2.2.2 IEEE Std. 1450.2

IEEE1450.2是STIL中对于DC参数的设定,主要包括以下3部分内容:

(1)集成电路电源参数设定

(2)各I/O引脚( pin)的电压/电流参数

(3)集成电路的上下电顺序。

2.2.3 其它IEEE标准

上面两类基本的参数构成了STIL基本的框架,此外,以下标准是最新制定完成或正在制订的标准:

(1)1450.1(Design Environment)

增加了Variable clock,pattern的burst功能,pattern中互相调用的实现。

(2)1450.6(CTL)

嵌入式内核的测试标准。

(3)1450.4, 5

标准测试流程

(4) 1450.7

标准混合信号测试规范

3STIL的现状和总结

3.1 现状

目前,STIL在欧美和日本等集成电路产业发达国家已经普遍使用。在美国,Intel,IBM,TI,Freesacale,NS等巨头已经纷纷采用STIL来作为集成电路设计到测试的标准数据格式。在日本,以Toshiba为首的集成电路制造大厂也在积极推动STIL成为业界标准。

另外,有关STIL的一些产品也开始使用。例如,目前Synopsys的TetraMAX,Mentor的FastScan和Cadence EncounterTest已经同时支持WGL和STIL。

3.2总结

1)STIL成为EDA-ATE间的标准接口是大势所趋。

2)集成电路产业链各部分都在为STIL开发新的工具和产品。

参考文献

[1]IEEE Std 1450-1999(Basic STIL).

[2]IEEE Std 1450.2 (DC Level).

[3]IEEE Std 1450.1-2005 (Design).

[4] IEEE Std. 1450.6-2005 (CTL).

集成电路设计自动化范文第3篇

关键词:overlap 模拟退火算法 自动布局规划

中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2013)12-0129-03

1 引言

随着半导体工艺的迅速发展,目前绝大部分芯片已经采用32nm及以下工艺进行设计。因此集成电路的集成度也越来越高,集成电路已经进入超大规模集成电路(Very Large Scale Integrated circuits)时代。 超大规模集成电路20世纪70年代后期出现,其主要用于制造存储器和微处理机。超大规模集成电路及其相关技术是现代电子信息技术迅猛发展的关键因素和核心技术。超大规模集成电路的研究水平已经成为衡量一个国家技术和工业发展水平高低的重要标志,也是世界工业国家竞争最激烈的一个领域。在VLSI中其集成度一直遵循着“摩尔定律”,即以每18个月翻一番的速度急剧增加,目前一个芯片上集成的电路元件数早已远超数亿个。如此迅速的发展,除了半导体工艺技术、设备、原材料等方面的不断改进之外,设计技术的革新也是重要原因之一。这一革新技术主要表现在全面采用了电子设计自动化(Electronic Design Automation, EDA)技术。因为集成电路发展到现在已经十分复杂,要在几十平方毫米上硅片上完成线条只有零点几微米的数以亿计门器件的整个电子系统设计,依靠手工设计是完全不可能的,必须借助电子设计自动化技术和工具集成电路的发展对EDA技术不断提出新的要求,以满足日益提高的设计需求;相应地,EDA技术的发展又使得集成电路设计向着更广(产品种类越来越多)、更快(设计周期越来越短)、更准(一次成功率越来越高)、更精(设计尺寸越来越小)、更强(工艺适应性和设计自动化程度越来越强)的方向发展一个典型的集成电路设计流程,几乎在其中的每个设计环节和整个设计过程都普遍用到CAD技术和工具。其中,版图规划是一个极其重要的设计环节,也是最费时的,并且版图的优劣决定了最终芯片的性能。该阶段的设计任务是根据逻辑和电路功能要求以及工艺制造的约束条件(如线宽、线宽距等),完成电路中单元的摆放和互连,最终形成设计的掩膜图。在版图规划中布图设置是很重要的一环。布图规划算法完成的任务是在满足各项电学和工艺要求的条件下,在给定区域内(或尽可能小的区域内)互不重叠地安置电路中的所有单元,并且尽可能好地满足单元互连的要求。超大规模集成电路的布局规划作为物理设计阶段的重要组成部分近年来受到了广泛关注,其质量直接影响后续布线工作的顺利完成,乃至最终影响到电路的性能,随着布局设计过程中各种新问题的不断引入,布局规划问题较原先更加复杂,也越来越难以解决。

2 目前现状

2.1 布局算法的提出

自动化版图设计实际是在有限的区域内,寻找出一个最优的摆放结果,不仅能够把所有的单元全部放入其中,并且为后续的布局布线提供最优的结果,使最终的芯片得到最好的性能。其对应的数学问题为对合法构形空间的搜索问题。VLSI物理设计中的布局、布线等问题是高度复杂的,且其中很多问题已被证明为NP-Hard问题。NP就是Non-deterministic Polynomial的问题,也即是多项式复杂程度的非确定性问题。而如果任何一个NP问题都能通过一个多项式时间算法转换为某个NP问题,那么这个NP问题就称为NP完全问题(Non-deterministic Polynomial complete problem)。经过前人的研究,布图规划已经被证明为是NP完全问题的数学模型。所以,布图规划是一个值得深入的课题。随着VLSI向深亚微米纳米不断推进,系统规模不断扩大,系统目标的多样化,问题空间维数随之剧增。传统的优化算法要么面临计算量爆炸(如穷举法、线性规划等),要么易陷入局部极值,无法接近全局最优解(如贪心算法等)。因此对各种新的智能优化方法的研究应运而起,先后提出了遗传算法、模拟退火法[11]等算法。各种方法各有千秋,但到目前为止,还没有任何一种方法可以有效地应用于解决VLSI物理设计中的所有问题。

对于布局规划中,特别是自动布局规划(master plan),通过对比相关算法,采用模拟退火算法。使用模拟退火算法我们可以较快的得出全局最优解。在用模拟退火算法反复迭代找出最优解时,会出现一些不可避免的重叠(overlap),这个时候我们要尽可能的消除它们,同时还要考虑模块间的距离(wirelength)以及通过的总线长(timing path)。模块间中心距离是我们布局最主要的约束条件,理论上我们要使它尽可能的小。因为在一块小小的集成电路板块中可能会有千万个单元(stand cell),它们组成了各个模块(module),为此,布局开始阶段模块在起始的温度下自由排列,随着温度的下降,当找到不错的排列组合时存档,继续寻找,直到达到最优解。模拟退火算法的基本原理是:跳出局部最优,亦称爬山解((up-hill)当满足一定的条件时以收敛到全局最优。算法可以看成是随机和贪婪算法的结合。当然模拟退火有着坚实的数学基础,其对新解的接受概率是min{1,e-C/T},其中C为代价函数的差,T为当前温度。开始当温度较高时,接受坏解的概率近似等于1,无论解的质量是好是坏,一律接受,可以看成是随机搜索。当温度足够低时,接受坏解的概率近似等于0,只接受好的解,可以近似的认为是贪婪搜索。在温度变化的过程中是一个从随机到贪婪的渐变过程[12](图1)。

3 算法的改进

3.1 功能模块设计

4 运行结果与分析

对于以上改进算法的实现进行代码编写,并且在Linux操作系统开发环境下运行encounter软件,采用一组case进行实现,得到的结果如(图3、4)。

通过对实验结果的分析可以看出,改进后的算法是有效的,跟传统的布局规划相比布局线路wirelength优化了17.5%,overlap降低了12.1%,达到了实验预期的效果。

5 结语

本文主要通过对自动布局规划设计分析,提出了改进的模拟退火算法,并消除布局中不应产生的overlap。该算法中采用了自顶向下的结群策略,实验表明,该算法比较稳定,得出的结果好,适用性强。

参考文献

[1]L.Jin,D.Kim,L.Mu,D.-S.Kim,and S.-M. Hu,“A sweepline algorithm for Euclidean Voronoi diagram of circules,”IEEE put.-Aided Des.,vol.38,no.3,pp. 260-272,Mar.2006.

[2]Y.Feng,D.P.Mehta,and H.Yang,“Constrained modern floorplanning,”in Proc.ISPD,2003,pp.128-135.

[3]J.-M.Lin and Y.-W.Chang,“TCG:A transitive closure graph base representation for general floorplans,”IEEE Trans.Very Large Scale Integr.,vol. 13, no. 4, pp. 288–292,Apr.2005.

[4]X.Hong,G. Huang,Y.Cai, J. Gu,S. Dong, C.-K. Cheng,and J. Gu,“Corner block list: An effective and efficient topological representation of non-slicing floorplan,” in Proc.ICCAD,2000,pp.8-12.

[5]S.Nakatake, M. Furuya, and Y. Kajitani, “Module placement on BSGstructure with pre-placed modules and rectilinear modules,” in Proc.ASP-DAC, 1998, pp. 571–576.

[6] Richard Auletta,Expert System Perimeter Block Placement Floorplanning,” date, p. 30140, Design,Automation and Test in Europe Conference and Exhibition Designers Forum (DATE’04),2004.

[7]Y.Zhan,Y. Feng, and S.Sapatnekar,“A fixed-die floorplanning algorithm using an analytical approach,”in Proc.ASP-DAC,2006, pp.771-776.

[8]Alupoaei,S.; Katkoori,S.Ant colony system application to macrocell overlap removal,Very Large Scale Integration (VLSI) Systems, IEEE Transactions,Vol.12, Iss.10,pp.1118- 1123,Oct.2004.

[9]S.N.Adya,I.L. Markov, Fixed-outline Floorplanning: Enabling Hierarchical Design, to appear in IEEE Trans.On VLSI,2003.

[10]W.Choi and K.Bazargan Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration,DATE 2003.

[11]杨依忠,解光军.基于遗传模拟退火算法的门阵列布局方法.计算机工程,2010,1.

[12]蒋中华.超大规模集成电路布图布局算法及热模型研究.2008.3.21.

[13]刘怀亮.模拟退火算法及其改进.广州大学学报(自然科学版).2005,4(6):503-506.

集成电路设计自动化范文第4篇

【关键词】EDA技术 发展背景 电子线路设计 应用分析

一、前言

作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。EDA技术涉及面广,内容丰富,融合了的微电子、电路系统、计算机应用等多个学科。EDA技术的本质是电子产品的自动化设计过程,其相关设定分别如下:工作平台为计算机,设计语言为硬件描述语言,实验载体为可编程器件,应用方向为电子系统设计。在电子线路设计中应用EDA技术可实现一体化设计,周期时间大幅度缩短,设计效率得到进一步提升。因此,对EDA技术在电子线路设计中的应用进行分析,对于EDA技术的现代应用和电子线路设计的长足发展有着积极的现实意义。

二、EDA技术的产生背景与内容

电子设计自动化的简称就是EDA技术,因为现代社会计算机,集成电路和电子系统的高速发展,所以电子设计技术就应运而生了,他的出现可以提高人们对于电子电路系统设计的能力,这种技术对于应用电子技术,计算机技术和智能化技术都有集成,所以能够对于各种电子通信方面的设计进行辅助的设计,目前来看,该项技术主要是对于IC的设计,电子线路的设计以及PCB板的设计起到了一定的作用,而且在日常运用的范围较广,当前,因为电子技术和计算机技术对其的推进作用,所以在国家的各个行业都有了大量的应用,比如国防,昂天,仪器仪表,工业自动化等等,该项技术正在以惊人的速度发展,逐渐变成了当今电子技术发展的前沿。

(一)EDA技术的产生背景

上世纪后半期,计算机和集成电路迅速发展起来,电子技术面临着新的机遇和严峻的考验。因电子技术周期不断缩短,其与专用集成电路设计难度日益提升间的矛盾日益加剧。这一形势下,就需要应用高层次的设计工具和新的设计方法来解决这一问题,而EDA技术就是在这一现实背景下应运而生的。

(二)EDA技术的内容

EDA技术主要包括四方面内容:第一,可编程逻辑器件(大规模);第二,硬件描述语言;第三,软件研发工具;第四,试验开发系统。EDA技术在电子系统设计的应用过程当中,其四方面内容依次扮演着载体、表达手段、设计工具、下载与硬件验证工具。

三、EDA技术的发展

回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。

(一)计算机辅助设计CAD(Computer Aided Design)阶段

20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。

(二)计算机辅助工程设计CAE(Computer Aided Engineering)阶段

20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。

(三)电子设计自动化EDA(Electronic Design Automation)阶段

20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。

四、EDA技术在电子系统设计中的理论应用

(一)EDA技术在电子系统设计中的应用优势

在电子系统设计中应用EDA技术,使得设计人员不必通过门级原理图来对电路进行描述,而只需对设计目标功能作出描述。电路细节方面的的束缚得以摆脱,设计人员能够将更多精力放在概念构思和创造性方案上。而当通过高层次描述将这些概念构思输入计算机后,EDA技术便可以规则驱动形式来实现整个设计的自动完成。这样,新概念能够有效迅速地转化为产品,产品研制周期大大缩短。

(二)EDA技术在电子系统设计中的基本应用步骤

高层次设计法是EDA技术在电子系统设计应用中的有效形式,其基本步骤如下:第一,通过“自上而下”形式的设计手段来划分系统;第二,完成VHDL代码的输入,并应用图形法来EDA实验室进行仿真输入;第三,对设计输入做编译处理,使其转化为VHDL标准文件;第四,采用仿真器来优化处理VHDL源代码,进而生成网表文件;第五,参考网表文件,应用适配器件来对对具体目标器件做逻辑映射操作;第六,经下载电缆或编程器来讲器件编程文件载入目标芯片中,如需更换综合库,只需通过ASIC的形式即可完成。

五、EDA技术在电子线路设计中的现实应用

(一)分频器的设计要求

分频器是基本的电子线路,依据设计的不同要求,通常会遇到半整数分频、整数分频等,等占空比、非等占空比也会成为设计有时的要求。同一设计中,多种形式的分频要求也往往存在。鉴于EDA技术的设计应用,本文将设计目标定位基准信号整数分频的实现。

(二)分频器的设计思路

假设系统输入信号为时钟信号,分别设定其频率、周期、占空比为60MHZ、20微秒、30%。之后将输入信号视作敏感信号,并进行4分频处理,这就就得出相应的输出信号。同时,设置一个复位信号于另外系统中,并配备相应计数器,随之融入进程中即可实现设计目标。

(三)分频器的设计实现

分频器的设计实现分六步来进行,第一步,找到应许程序中的QuartusII标志,将其打开;第二步,进行新工程项目的建立。在已有工程项目完成的情况下,作“Open Existing Project”的单击处理,并对项目保存路径进行选择。这里,即可应用原有文件夹,也可建立新文件夹,随之输入相应的项目名称,便可在项目中完成文件的加载。之后,进行FPGA芯片的选择,以试验箱芯片型号为依据来作出选择,并通过对芯片封装、引脚数、速度三栏自上而下的选择,来将芯片选择范围进一步缩小。完成芯片选择后,来对所需调用的EDA工具作出选择,因本文不涉及调动,故可直接点击下一步,待出现工程对话框后,点击完成即完成本步操作;第三步,建立硬件描述语言文件。单击工具栏File菜单栏正下方的New图标,输入已经编写好的语言程序于程序输入框内。待输入完毕后,加以保存并确定文件名(文件名应与硬件描述语文和工程名中的模块名相一致)。这时,单击工具栏中编译图标,如无错误,电机确定即可,如弹出警告信息,其信息中对设计问题有相应的说明;第四步,建立仿真波形图。类比于上一步骤,不同之处,在于选择“New”中的波形文件,双击其下空白处,进入到时序仿真端口当中,单机“OK”即完成仿真端口的选择;第五步,仿真。在菜单栏中对仿真截止时间进行设置,通常情况下位20微秒。之后,进行输入的设置,在时钟对话框中对起始时间、周期、结束时间进行设置。最后对低电平或高电平数据范围进行选择,完成后保存,且注意应保持波形文件同模块名、项目名的一致性;第六步,编译。对仿真波形图进行编译,使其每隔四个时钟周期,能够在输出端得到等占空比的四分频波形。之后,改变占空比,或对计数器技术状态值作出稍微改变,多种形式分频随即实现。

六、结束语

通过论述EDA技术在电子线路设计中的现实应用,可以看出,EDA技术简化了繁琐的设计工作,表现出较好的应用效果,能够满足电子线路的设计要求。21世纪是EDA技术的发展高速期,其应用正在朝着数模混合电路和模拟电路的方向迈进,EDA技术必将突破电子设计范畴,来进入其他领域。且随着EDA技术设计应用的日益成熟,其定将在设计领域得到更为广泛的应用。

参考文献:

[1] 邱军兴.EDA技术在电路设计中的地位和作用[J].西安文理学院学报.2009(8).

[2] 贾民力.EDA仿真技术在电子线路设计中的应用[J].青海大学学报.2009(23).

[3] 崔葛.基于FPGA的数字电路系统设计[M].西安:电子科技大学出版社.2008 .

[4] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[5] 马楠.周焱.EDA在射频电子电路设计中的应用[J].山西电子技术.2005(2).

[6] 王树昆等.EDA仿真环境的研究与应用[J].山东省青年管理干部学院学报.2006(1).

[7] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[8] 王平.EDA技术的电子系统设计[J].中国科技博览.2011(38).

[9] 杜玉远.EDA设计快速入门[J].电子世界.2004.

[10] 路而红.电子设计自动化应用技术[M].北京:高等教育出版社.2006.

[11] 徐宏庆.电子线路设计中仿真设计软件的应用[J]..中国现代教育装备.2010(2).

[12] 高有华,龚淑秋,李忠波.基于EDA电子线路的仿真研究[J].沈阳工业大学学报.2002(4).

集成电路设计自动化范文第5篇

【关键词】模拟电路;数字电路;区别辨析

Abstract:With the rapid development of science and technology,electronic circuit’s function is more comprehensive and system scale becomes larger and larger,so it can be applied in wider fields and closer to human production and life.Electronic circuit can be divided into two major categories,digital circuit and analog circuit,according to their function.There are many notable differences between the two kinds of circuits.It is of extremely vital significance to distinguish the two clearly,so as to improve the design and optimization of electronic circuit.

Key words:analog circuit;digital circuit;difference

随着科学技术的突飞猛进,电子电路的自身功能不断增强,晶体管的尺寸不断减小,系统规模不断扩大,应用领域不断拓展,与人类生产、生活的密切度不断提升。电子电路按照功能可以分为数字电路和模拟电路两大类。模拟电路是处理连续函数形式的模拟信号的电子电路。数字电路是用数字信号完成对数字量进行算术运算和逻辑运算的电路,又称数字逻辑电路(以“开”、“关”两种状态或者以高、低电平来对应“1”和“0”二进制数字量)。模拟电路和数字电路有着显著的区别。

1.信号变化的特点不同

模拟信号的大小是随着时间连续变化的,即模拟信号在时间和数值上是连续的,幅值可由无限个数值表示。而数字信号在时间和数值上是离散的,幅值表示被限制在有限个数值之内。因此,模拟电路更加关注电压、电流的具体值,而数字电路则更加关注电平的高低。

2.处理信号的手段不同

模拟电路和数字电路都是信号变化的载体,对模拟信号能够执行的操作,如滤波、放大、限幅等都可以对数字信号进行操作。

模拟电路对信号的处理主要是通过场效应管的放大特性来实现的,当然还包括电阻、电容、二极管、双极型晶体管等元器件的特性,最终利用一定的数学模型所组成的运算网络来实现。处理方式有测量电桥、信号放大、信号滤波、调制解调、信号变换和AD变换。而数字电路对信号的传输主要是通过场效应管的开关特性来实现操作的,并由场效应管构成与或非等基本门电路、触发器、寄存器、编码/译码器、算术逻辑单元等完成复杂的算术与逻辑操作。

尽管模拟电路和数字电路对信号的处理方式不同,但其实从根本上来说,所有的数字电路都是模拟电路,其基本的电学规律、电学原理,都与模拟电路一致。例如,用PMOS管和NMOS管可以构成互补式CMOS电路,其对称且互补的结构,恰好使其能处理高低数字逻辑电平。

3.信号抗扰动能力的强弱不同

通常把由于材料或器件的物理原因产生的扰动称为噪声,把来自外部原因的扰动称为干扰,干扰有一定的规律性,可以减少或消除。

在模拟电路中,由于信号几乎完全将真实信号按比例表现为电压或电流的形式,造成模拟电路对于噪声的影响比数字电路更加敏感,模拟电路系统中各个不同部分的偏差积累起来,使得偏差量的负面影响变得较为显著。模拟信号在多次处理和长距离传输的过程中,波形会发生改变,若处理不当,将造成信息损失,具体表现为图像、声音失真,严重时甚至会出现信号中断现象。通过使用屏蔽导线,或者在电路中引入低噪声运算放大器,可以尽量缓解噪声的负面影响。而数字电路是由许多的逻辑门组成的电路,信息只取决于高低电平,只要信号的偏差在一定范围内,就不会造成误码。

因此,从信号处理的角度看,对信息进行量化的数字电路系统比模拟电路系统抵御噪声的能力、信号抗干扰能力更强,信号的精度更高。

4.电路设计的难易程度不同

模拟电路的设计常常需要更多的手工运算,其设计过程的自动化程度低于数字电路,因此模拟电路的设计通常比数字电路的设计更难,对设计人员的水平和能力要求更高。这也是数字电路系统比模拟电路系统更加普及的原因之一。但是因为自然界的大多数实际信号是模拟的,所以数字式电子设备、电子产品要在真实的物理世界中得到应用,就离不开一个模拟的接口。例如,数字电视机的基本原理就是将电视台送出的图像及声音信号数字化后调制发送,由数字电视接收后,解调还原出原来的图像及声音。因为全程均采用数字技术处理,因此,信号损失小,接收效果好。

目前电路设计自动化程度日益上升,常用的电子电路设计和分析软件主要有:EWB、PSPICE、Protel、Mentor、Graphics、Synopsys、Cadence等等。我们根据软件功能分为以下几类:

(1)电子电路设计与仿真工具

包括SPICE/PSPICE、EWB、Matlab、SystemView等。它们可以进行各类电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出,并在同一窗口内同时显示模拟与数字的仿真结果。

(2)PCB设计软件

包括Protel、Autium Designer等。这两者功能类似,都包含了原理图绘制、印刷电路板设计、模拟电路与数字电路混合信号仿真、可编程逻辑器件设计等功能,界面友好、使用方便,目前主要用于电路设计和PCB设计。

(3)IC设计软件

Cadence、Mentor Graphics和Synopsys是ASIC设计领域相当有名的软件供应商,提供的软件都非常适用于深亚微米的IC设计。对于模拟电路而言,普遍使用HSPICE,是因为它的模型最多,仿真的精度也最高,可以满足大多数设计者的需要。

(4)PLD设计工具

PLD是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD和FPGA。由于PLD的在线编程能力和强大开发软件(如Xilinx公司的ISE、Altera公司的Quartus)的存在,工程师可将数百万门的复杂设计集成在一颗芯片内,大大缩小了电路的尺寸以及开发周期。

5.总结

模拟电路和数字电路有着诸多显著的区别,辨析清楚两者的区别对电子电路的改进、设计和研发有着十分重要的意义。

人类电子学发展史上第一个被发明出来并得到大规模生产的器件是模拟的。后来随着微电子学的发展,数字技术的成本大大降低,加之计算机对于数字信号的要求,使得数字式的方法在人机交互等领域具有可行性和较高的性价比。当然,尺有所长,寸有所短,模拟电路和数字电路有着各自的优缺点,适用的方向也不同。电子电路的发展,经历了从模拟到数字的进步,但不等于数字电路可以完全取代模拟电路,也不能简单地说哪一个更实用、更有效。我们设计电路时,应该扬二者之长,避二者之短,使两者融为一体、交相辉映(如数模混合电路、数字模拟电路、模拟数字电路),从而达到电路体积更小、功能更强、功耗更低、成本更低、集成度更高、稳定性更好、可靠性更高的理想效果。

参考文献

[1]逄亚清.模拟电路与数字电路区分及实用知识的探讨[J].山东工业技术,2013,12:155.

[2]苏成富.模拟电路与数字电路[J].电子制作,1998,02:17.

友情链接